优艾设计网

我去年买了个表下一句是什么呢??

你好请问一下,我去年买了个表下一句是什优艾设计网_Photoshop论坛么呢??
OR兰迪 2022-02-02 12:16

“去年买了个表”起因是一位网易网友用拼音缩写回复,因为是粗话,所以用的拼音缩写WQNMLGB,结果被楼下的网友翻译成:我去年买了个表;

出处的新闻是:陕西安监局杨表哥事件!

现在,这话火了,大家在表达不满情绪的时候,含蓄的用这句话来表达了:我去年买了个表=我优艾设计网_Photoshop百科去你妈了个逼。


u_96955280 2022-02-02 12:19

优艾设计网_设计LOGO 我去年买了个表

啥表(s b)

秒表(m b)

给你妈的(滚 你 妈 的)

给你哪能(滚 你 奶 奶)

感动( 滚 蛋)

不用(B 样)

就这样


一块钱环游世界 2022-02-02 12:27

因2012年陕西安监局杨达才名表门事件,去年买了个表在网络上走红,网络上的一个跟帖,一网易网友表达愤怒时想骂人,但由于是粗口话,就用拼音的首字母缩写代替WQNMLGB,结果下面有网友译成“我去年买了个表”,于是这句话就这么火起来了。

表达不满

我去年买了个表的缩写是:WQNMLGB,也就是大家最常用的那个脏话之一。

有失败后的宣言,表示“不甘心,不情愿,心里不平衡觉得很不公平”等意义。是个发表自己不同意不愤情绪的万用词语。

网络用语

一种替代词,网络用语,由于很多论坛会设置关键词过滤,最初的“去年买了个表”的形成是由于:有些网友用于替代“去 你 妈 了 个 逼。”被摒弃掉,而做替代的一种表达。

常用环境有网络论坛、网络游戏附语,偶尔也会出现在团队语音当中,但是据调查,概率极低。偶尔也会出现在网民的签名和状态中,用以表现其愤怒情绪。

由于是网络词汇,让我们深切的意识到,网络文化正在与现实当中的词汇,语言,以及很多方面脱节,分离,分化。这或许可以说成是一个新网络世界诞生的分水岭,似一刀流一般的拔地而起。优艾设计网_Photoshop百科

使用人群:网络玩家

特征:网络俚语,网络常用语言,不满情绪,调戏网友,娱乐网友等等。

衍生语句

我去年买了个表,超耐磨。WQNMLGB,CNM

我去年买了个板凳。WQNMLGBD.

文艺版是 忘却难免留个疤。 WQNMLGB

另类版是 五千年磨了根棒。 WQNMLGB

游戏版是 我琴女秒了个兵。 WQNMLGB

我去年买了个包。WQNMLGB

我去年买了个登山包。 WQNMLGDSB

我去年买了个登山包,超耐磨。WQNMLGDSB,CNM

我去年买了个表。啥表,秒表。WQNMLGB。SB,MB

我劝你灭了丐帮。WQNMLGB

我劝你们撸管吧!WQNMLGB

我去年买了个表 I bought a watch last year

我去年买了个登山包,超耐磨 I bought a mountaineering bag last year,Super abrasion

------------------_----这里L7


谭林超 优艾设计网_设计百科 2022-02-02 12:29

是骂人的话,意思就是:我去你妈了个B......不知道这回答能显示出来不


优艾设计网_设计LOGO飞鱼传说 2022-02-02 12:30

  起因是一位网易网友用的是英文缩写回复,因为是粗话,所以用的拼音缩写WQNMLGB,结果被楼下的网友翻译成:我去年买了个表;现在,这话火了,大家在表达不满情绪的时候,含蓄的用这句话来表达了:我去年买了个表=我去你玛勒隔壁。


罐装_460 优艾设计网_Photoshop论坛 2022-02-02 12:38

我去年买了个表=WQNMLGB=我去你@妈勒个币

2012年陕西安监局杨达才名表门事件,“去年买了个表”在网络上走红,网络上的一个跟帖,一网易网友表达愤怒时想骂人,由于是粗口话“我去你妈嘞个逼”,就用拼音的首字母缩写“WQNMLGB”,结果下面有网友译成“我去年买了个表”,于是这句话就这么火起来了。


0

上一篇:

下一篇:

精彩评论

暂无评论...
验证码 换一张
取 消

最新问答

问答排行榜